China’s Semiconductor Lithography Advances: Self-Sufficiency Amid Global Skepticism

China Semiconductor

China’s ambitions to develop a self-sufficient semiconductor industry have taken center stage in the ongoing technological rivalry between the U.S. and China. The country’s most recent advance in semiconductor lithography technology has drawn significant attention and, with it, widespread skepticism from the global tech community. As Beijing strives to overcome U.S.-led sanctions and reduce reliance on foreign chip-making equipment, critics argue that China still lags significantly behind cutting-edge industry standards. However, the broader context suggests that China’s progress, albeit incremental, cannot be overlooked.

In the intricate world of semiconductor manufacturing, lithography machines are crucial to creating integrated circuits (ICs), enabling the rapid miniaturization of transistors that underpins today’s electronics. Mastery over lithography technology would represent a major milestone in China’s efforts to become more independent in the semiconductor supply chain, a sector heavily influenced by geopolitical tensions and trade restrictions.

Lithography in Semiconductor Manufacturing

Lithography is the process used to transfer patterns from a photomask to a semiconductor wafer, a key step in the production of chips. This process uses ultraviolet light to imprint microscopic circuits, with the precision of this process determining the size of the transistors on the chip. Smaller transistors typically mean more powerful and efficient chips.

The challenge China faces in the realm of semiconductor lithography technology is to develop machinery that can compete with industry leaders like ASML (Netherlands), Canon (Japan), and Nikon (Japan). These companies dominate the global supply of advanced lithography machines and are years ahead in terms of technological sophistication.

China’s Latest Announcement

Earlier this month, China’s Ministry of Industry and Information Technology (MIIT) disclosed two domestically-produced lithography systems that it hopes will be adopted by Chinese chip manufacturers. One is a krypton fluoride (KrF) scanner capable of producing integrated circuits with 130 nanometer (nm) design rules, while the other is an argon fluoride (ArF) scanner capable of producing chips at 65nm.

While 65nm may not seem particularly advanced compared to the current industry standard of 5nm or even the 3nm chips now rolling off global production lines, this is still a noteworthy achievement. It signifies that China’s domestic semiconductor capabilities are advancing steadily, particularly in the face of sanctions aimed at cutting off its access to high-end lithography systems.

Despite the progress, several key details, such as the throughput, alignment accuracy, and the specific manufacturers behind the machines, were conspicuously absent from the MIIT’s announcement. Without this data, it is difficult to gauge how these machines truly compare to global industry standards.

China’s Path to High-End Chip Production

At present, China has set a target of reaching the 28nm process node for semiconductor manufacturing. While this still trails the latest 3nm and 5nm technology used in the most advanced smartphones and computers, 28nm chips are sufficient for a range of other applications, such as automotive electronics and telecommunications infrastructure.

The lithography machines now unveiled by China’s MIIT are critical steps on the path to achieving this goal. China currently relies on imported equipment for the production of its most advanced chips, but the ability to manufacture domestically produced 28nm chips would provide a significant buffer against sanctions. The ultimate goal for China is to push toward 5nm and smaller, enabling it to compete in the market for the most advanced chips used in cutting-edge technologies like artificial intelligence (AI), 5G, and quantum computing.

Chinese manufacturers have already shown the ability to produce 7nm chips using equipment imported from ASML, Nikon, and Canon. With multiple patterning techniques, China can push the limits of older technologies like deep ultraviolet (DUV) lithography. However, for more advanced chips, the country would need to develop its own extreme ultraviolet (EUV) systems, a technological frontier currently dominated by ASML.

Catching Up with the Global Leaders: Canon, Nikon, and ASML

When examining China’s lithography technology development, it is useful to compare its progress with the historical evolution of global leaders. Canon and Nikon are pioneers in the lithography market, dating back to the 1970s and 1980s, with the development of step-and-repeat systems, known as steppers, and later step-and-scan systems, or scanners. These Japanese companies dominated the industry in the 1980s and 1990s, with Nikon playing a pivotal role in VLSI technology, Japan’s effort to rival U.S. semiconductor technology.

Nikon’s introduction of an argon fluoride (ArF) scanner in 1999, capable of producing chips at 110nm, is similar to the capabilities now being promoted by China. Nikon continued advancing this technology, reaching 65nm production by 2004 and introducing immersion lithography, which allowed further miniaturization by filling the gap between the lens and wafer with water. Immersion lithography remains the industry standard for advanced chip production today.

While Canon and Nikon were the early pioneers, ASML of the Netherlands has risen to dominate the high-end lithography market. Its TWINSCAN ArF immersion scanner, introduced in 2003, revolutionized chip production with dual-stage systems that improved accuracy and throughput. ASML then developed the first EUV scanner in 2010, enabling mass production at 5nm and beyond.

At present, ASML has an overwhelming 80% share of the global lithography market by value, driven by its monopoly on EUV machines. These machines use light at a wavelength of 13.5nm, far shorter than the 248nm and 193nm wavelengths used by KrF and ArF scanners, respectively. EUV lithography is essential for producing the most advanced chips, with features as small as 3nm and 2nm.

ASML’s dominance is reflected in its recent sales figures. In the second quarter of 2024 alone, ASML sold eight EUV systems, 32 ArF immersion systems, and a range of older technologies, such as KrF and i-line systems. Canon and Nikon, by contrast, have largely focused on less advanced KrF and i-line systems, which serve mature technologies used in communication devices, power semiconductors, and packaging.

China’s Main Lithography Player: SMEE

Shanghai Micro Electronics Equipment (SMEE) is China’s leading domestic lithography equipment producer. Established in 2002, SMEE has developed machines capable of producing chips with design rules ranging from 280nm to 65nm, and it is likely the manufacturer of the machines recently promoted by MIIT. SMEE’s systems are currently used in less demanding sectors such as power semiconductors and LEDs, but the company has aspirations to push into the higher-end market.

SMEE has also been working on immersion lithography since 2020, a crucial step toward achieving 28nm production. Reports have suggested that SMEE or another Chinese company, Naura Technology, might soon release a 28nm-capable lithography system, but no definitive announcements have been made yet. Naura has also been researching advanced patterning techniques, such as self-aligning quadruple patterning, which could help China further miniaturize chip features without access to EUV.

The Impact of U.S. Sanctions

One of the primary drivers of China’s push toward semiconductor independence is the growing list of U.S.-led sanctions. Over the past few years, the U.S. has successfully pressured the Netherlands to restrict ASML from selling its most advanced EUV systems to Chinese companies. More recently, the U.S. has also sought to prevent ASML from servicing the ArF immersion systems it previously sold to Chinese customers.

These restrictions present a significant obstacle for China’s semiconductor ambitions. Without access to EUV or ArF immersion technology, it will be difficult for Chinese manufacturers to produce chips at the 7nm process node or smaller. However, these sanctions have also provided China with a powerful incentive to accelerate the development of its domestic lithography industry.

China’s Technological Catch-Up

While China has not yet achieved the same level of sophistication as ASML’s EUV systems, its steady progress in lithography cannot be dismissed. Chinese companies have demonstrated the capability to produce chips at the 65nm process node, and their ability to compete with older-generation machines from Canon and Nikon positions them as serious players in the lower end of the market.

The next few years will be crucial as China ramps up its efforts to develop 28nm and eventually 7nm or smaller chips with domestically produced equipment. Success will depend on overcoming technical hurdles, continued government support, and the ability to attract skilled engineers and researchers.

China’s lithography technology still has a long way to go, but it is advancing. For competitors in the semiconductor industry, complacency would be ill-advised. The story of technological catch-up is often one of incremental progress, and Beijing’s determination to build an independent semiconductor industry means the world will be watching China’s next moves closely.

Related Posts